• 首页 > 数据存储频道 > 数据.存储频道 > 存储资讯

    半导体设备在国产化趋势下呈现“高成长”

    2022年08月19日 11:03:09   来源:Ai芯天下

      前言:

      半导体设备受益国产替代,近几年正迎来快速成长期,一方面源自中国大陆晶圆厂的快速扩产和份额增长,蛋糕正在变大。

      受益于国内设计公司的蓬勃发展,中国大陆晶圆厂需求旺盛,扩产增速超过全球,份额持续提升。

      全球半导体设备市场简述

      2021年全球半导体设备市场规模首破千亿美元,中国大陆占约29%,达到全球第一,下游扩产持续拉动设备需求。

      根据SEMI报告,2021年全球半导体制造设备销售额同比增加44%达到1026亿美元的历史新高,预计到2022年将扩大到1140亿美元。

      2021年中国大陆半导体设备市场销售额增长58%,达到296亿美元,占全球市场约28.9%,由于晶圆厂扩产加速,国内市场增速显著高于全球。

      预计后续***、合肥长鑫、华虹集团、长江存储等国内主流晶圆厂均为扩产主力,多个新厂区项目将继续拉动国内设备市场需求。

      半导体设备市场空间持续增长

      半导体设备可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。

      在晶圆前道制造的工艺包括氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属化等七个步骤。

      根据wind数据,2021全球半导体设备销售额达1026亿美元,2016-2021年全球半导体设备销售额CAGR为20.01%;

      2021年中国大陆半导体设备销售额为296亿美元,2016-2021年大陆半导体设备销售额CAGR为35.60%。

      根据SEMI的统计,前道设备占比超过了80%,前道设备中薄膜沉积设备(18%)、光刻设备(24%)、刻蚀设备(10%)、过程控制设备(10%)、清洗设备(6%)、离子注入设备(2.5%)等在产线中成本占比较高。

      从中标情况看国内设备厂商进展

      从年度数据来看,2021年设备国产率达27.4%,较2020年16.8%有明显提升。

      2022年7月主流晶圆厂开标的76台设备中,源自中国大陆厂家制造的设备共计37台,占比达48.68%;

      2022年1-7月开标的624台设备中,源自中国大陆厂家制造的设备共计226台,占比达36.2%。

      2022年7月国内主流晶圆厂共开标76台工艺设备,上海积塔为扩产主力。

      2022年7月国内10家主流晶圆厂共开标76台工艺设备,主要来自上海积塔(40台)、华虹无锡(35台)、福建晋华(1台)。

      22年1-7月合计开标624台工艺设备,主要来自华虹无锡(326台)、上海积塔(250台)、福建晋华(24台)、时代电气(16台)、华力集成(6台)、华力微电子(2台)。

      近年来受益于北方华创、中微公司、盛美半导体、芯源微、屹唐半导体、至纯科技、华海清科等中国大陆厂家的不断发展。

      长江存储、华力集成、华虹无锡三座典型晶圆厂招投标数据来看,美国设备厂商份额在4—5成,日本厂商份额3成左右,国产份额2成左右,国产设备份额呈现明显上升趋势。

      在刻蚀、沉积、清洗、抛光、干法去胶、炉管、涂胶显影等领域半导体设备中标国产率较高。

      随供应链本土化趋势的发展,未来国产化率有望实现阶跃式提升。

      在行业景气持续、国产替代深入背景下,半导体设备公司持续有业绩支撑。

      机械类零部件占比最高

      ①光刻设备:光刻机龙头ASML占据光刻设备主要市场份额;

      ②刻蚀设备:刻蚀设备主要厂商包括LAM、TEL、AMAT等海外龙头。国内头部企业包括中微公司,与全球450家以上供应商合作,关键供应商超过了90家。

      ③镀膜:主要厂商包括AMAT、LAM、TEL、ASMI等海外龙头。国内头部企业为拓荆科技,公司主要产品包括PCD、CVD、ALD等。

      ④涂胶显影设备:涂胶显影设备市场主要参与者包括东京电子、迪恩士、德国苏斯微及国内厂商芯源微,芯源微的核心零部件包括机械手、离心电机、高精热盘、胶泵及控制器、喷嘴等。

      国内在各细分领域涌现新秀

      ①刻蚀设备方面,中微公司、北方华创、屹唐股份分列国内前三。

      中微公司工艺覆盖范围相对较广,其主力出货类型为CCP(电容耦合等离子刻蚀),面向介质刻蚀较多,近期ICP(电感耦合等离子刻蚀)逐步发力,未来工艺范围有望进一步拓宽;

      北方华创主要工艺覆盖为多晶硅、浅沟槽、铝刻蚀等类型,主要面向金属、硅等导体刻蚀为主;

      屹唐股份在长江存储获得大量采购,主要面向介质刻蚀。

      ②薄膜沉积设备方面,拓荆科技、北方华创、盛美上海分列中标数量国内前三,但三家厂商设备类型有明显差异。

      拓荆科技主要为PECVD(等离子增强化学气相沉积);北方华创主要为PVD(物理气相沉积);盛美上海涉及电镀设备。

      ③过程控制设备方面,中科飞测、精测半导体、睿励科学仪器属于国内布局领先企业。

      中科飞测主要产品为光学表面三维形貌量测设备等光学检测设备,精测半导体、睿励科学仪器主要产品均为膜厚量测设备。

      ④氧化扩散/热处理设备方面,北方华创中标设备数量靠前,尤其是在长江存储中获采购数量较大。

      北方华创相关设备主要以各类氧化炉、退火炉、合金炉等为主;

      除北方华创外,屹唐股份、盛美上海等公司亦有相关炉管产品;

      上海微电子面向IGBT等应用开发了激光退火设备,与炉管设备有所区别。

      ⑤清洗设备方面,盛美上海在选取的三家晶圆厂中设备中标数量位列第二,仅次于日本迪恩士。

      盛美上海清洗设备工艺覆盖面较广,基本涵盖前、中、后段工艺。

      除盛美上海以外,国内至纯科技、北方华创、芯源微、屹唐股份等企业在该领域均有所布局,积极推进国产化。

      涂胶显影设备方面,东京电子获采购较多,国产设备公司中仅芯源微入围,芯源微在华力集成中标设备为匀胶机,国产化尚存在较大发展空间。

      结尾:设备的国产替代正在加速

      从行业格局来看,美日欧厂商在半导体设备领域具备传统优势,占据半导体设备全球前15名席位。

      总体而言,国内设备厂商在设备品类、工艺覆盖率方面仍存在较大提升空间,美国制裁中国厂商事件已经激发国内厂商的供应链安全意识;

      当前国产设备主要在后端制程为主,且部分用于处理控片、挡片,在正片、前端制程应用相对有限,未来仍存在较大发展空间。

      国内晶圆厂有望加快供应链本土化,预计国产设备厂商接下来1—2年有望受益国产设备份额的阶跃式提升。

      部分资料参考:广发证券:《半导体设备行业专题:零部件,空间广阔,国产化趋势下高成长》,中信证券:《从招标数据看半导体设备国产化现状》

      文章内容仅供阅读,不构成投资建议,请谨慎对待。投资者据此操作,风险自担。

    即时探行数字人注册免费试用

    新闻探行AI智能外呼系统 节省80%人力成本

    敢闯技术无人区 TCL实业斩获多项AWE 2024艾普兰奖

    近日,中国家电及消费电子博览会(AWE 2024)隆重开幕。全球领先的智能终端企业TCL实业携多款创新技术和新品亮相,以敢为精神勇闯技术无人区,斩获四项AWE 2024艾普兰大奖。

    企业IT探行AI客服 24小时无休机器人接待

    重庆创新公积金应用,“区块链+政务服务”显成效

    “以前都要去窗口办,一套流程下来都要半个月了,现在方便多了!”打开“重庆公积金”微信小程序,按照提示流程提交相关材料,仅几秒钟,重庆市民曾某的账户就打进了21600元。

    3C消费探行AI视频 快速生成真人营销视频

    “纯臻4K 视界焕新”——爱普生4K 3LCD 激光工程投影

    2024年3月12日,由爱普生举办的主题为“纯臻4K 视界焕新”新品发布会在上海盛大举行。

    研究探行AI整体解决方案 全国招募代理

    2024全球开发者先锋大会即将开幕

    由世界人工智能大会组委会、上海市经信委、徐汇区政府、临港新片区管委会共同指导,由上海市人工智能行业协会联合上海人工智能实验室、上海临港经济发展(集团)有限公司、开放原子开源基金会主办的“2024全球开发者先锋大会”,将于2024年3月23日至24日举办。